ああああ

ああああ

匿名さん  2014-12-19 14:13:35 
通報
あああああ

コメントを投稿する

  • No.1 by 着ぐるみパンダさん  2014-12-19 14:14:52 

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity kadai_11 is
port(
CLK,BCD1WR,BCD10WR,CIN : in std_logic;
CO : out std_logic;
DATAIN : in std_logic_vector( 3 downto 0);
BCD1 : out std_logic_vector( 3 downto 0);
BCD10 : out std_logic_vector( 2 downto 0));
end kadai_11;
architecture RTL of kadai_11 is
signal BCD1N : std_logic_vector(3 downto 0);
signal BCD10N : std_logic_vector(2 downto 0);
begin
BCD1 <= BCD1N;
BCD10 <= BCD10N;
process(CLK,BCD1WR) begin
if(BCD1WR = '1') then
BCD1N <= DATAIN;
elsif(CLK'event and CLK = '1') then
if(CIN = '1') then
if(BCD1N =9) then
BCD1N <= "0000";
else
BCD1N <= BCD1N + 1;
end if;
end if;
end if;
end process;
process(CLK,BCD10WR) begin
if(BCD10WR = '1')then
BCD10N <= DATAIN(2 downto 0);
elsif(CLK'event and CLK = '1')then
if(CIN = '1' and BCD1N=9) then
if(BCD10N=5) then
BCD10N <= "000";
else
BCD10N <= BCD10N + 1;
end if;
end if;
end if;
end process;
process(BCD10N,BCD1N,CIN) begin
if(CIN='1' and BCD1N=9 and BCD10N=5)then
CO <= '1';
else
CO <= '0';
end if;
end process;
end RTL;

あたい

  • No.2 by 着ぐるみパンダさん  2014-12-19 15:36:16 

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity kadai_11 is
port(
CLK,BCD1WR,BCD10WR,CIN : in std_logic;
CO : out std_logic;
DATAIN : in std_logic_vector( 3 downto 0);
BCD1 : out std_logic_vector( 3 downto 0);
BCD10 : out std_logic_vector( 2 downto 0));
end kadai_11;
architecture RTL of kadai_11 is
signal BCD1N : std_logic_vector(3 downto 0);
signal BCD10N : std_logic_vector(2 downto 0);
signal CLKN :integer;
begin
BCD1 <= BCD1N;
BCD10 <= BCD10N;
process(CLK,BCD1WR) begin
if(BCD1WR = '1') then
BCD1N <= DATAIN;
elsif(CLK'event and CLK = '1') then
CLKN <= CLKN + 1;
if(CIN = '1') then
if(CLKN mod 4 = 0 and BCD1N = 9) then
BCD1N <= "0000";
elsif(CLKN mod 4 = 0) then
BCD1N <= BCD1N + 1;
end if;
end if;
end if;
end process;
process(CLK,BCD10WR) begin
if(BCD10WR = '1')then
BCD10N <= DATAIN(2 downto 0);
elsif(CLK'event and CLK = '1')then
if(CIN = '1' and BCD1N=9 and CLKN mod 4 = 0) then
if(BCD10N=5) then
BCD10N <= "000";
else
BCD10N <= BCD10N + 1;
end if;
end if;
end if;
end process;
process(BCD10N,BCD1N,CIN) begin
if(CIN='1' and BCD1N=9 and BCD10N=5)then
CO <= '1';
else
CO <= '0';
end if;
end process;
end RTL;

あたいは

  • No.3 by 通りすがりさん  2014-12-19 19:43:02 

これ、何言語?

  • No.4 by 匿名さん  2014-12-25 20:21:14 

あげ

[PR]リアルタイムでチャットするなら老舗で安心チャットのチャベリ!
ニックネーム: 又は匿名を選択:

トリップ:

※任意 半角英数8-16文字 下げ
利用規約 掲示板マナー
※トリップに特定の文字列を入力することで、自分だけのIDが表示されます
※必ず利用規約を熟読し、同意した上でご投稿ください
※顔文字など、全角の漢字・ひらがな・カタカナ含まない文章は投稿できません。
※メールアドレスや電話番号などの個人情報や、メル友の募集、出会い目的の投稿はご遠慮ください

[お勧め]初心者さん向けトピック  [ヒント]友達の作り方  [募集]セイチャットを広めよう

他のトピックを探す:大学生・社会人・主婦・大人チャット







トピック検索


【 トピックの作成はこちらから 】

カテゴリ


トピック名


ニックネーム

(ニックネームはリストから選択もできます: )

トピック本文

トリップ:

※任意 半角英数8-16文字

※トリップに特定の文字列を入力することで、自分だけのIDが表示されます
※メールアドレスや電話番号などの個人情報や、メル友の募集、出会い目的の投稿はご遠慮ください
利用規約   掲示板マナー





管理人室


キーワードでトピックを探す
初心者 / 小学生 / 中学生 / 高校生 / 部活 / 音楽 / 恋愛 / 小説 / しりとり / 旧セイチャット・旧セイクラブ

「これらのキーワードで検索した結果に、自分が新しく作ったトピックを表示したい」というご要望がありましたら、管理人まで、自分のトピック名と表示させたいキーワード名をご連絡ください。

最近見たトピック